Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Використання блок-діаграм для декомпозиції складних пристроїв в САПР Active-HDL

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Не вказано
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2012
Тип роботи:
Лабораторна робота
Предмет:
Моделювання

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ національний університет “Львівська політехніка” Кафедра ЕОМ / Звіт З дисципліни : «Моделювання комп’ютерних систем» Лабораторна робота №8 Тема: «Використання блок-діаграм для декомпозиції складних пристроїв в САПР Active-HDL» Підготував: Прийняв: Львів 2012 Мета роботи: Навчитися використовувати блок-діаграми (Block Diagrams) для декомпозиції складних об'єктів на структурні складові. Отримати навички застосування констант generic для проектування структурних елементів. Розробити модель 8-розрядного 7-сегментного індикатора. Теоретична частина Постановка задачі Створити блок - генератор сигналів для 8-розрядного 7-сегментного індикатора. Декодер розряду індикатора та паралельний регістр (моделі яких було розроблено в лабораторних роботах №2 і №5) мають входити до проекту як структурні складові. Інтерфейс генератора сигналів містить: вхідний 32-розрядний порт Х типу std_logic_vector (31 downto 0), на який у двійково-десятковій формі подається 8-розрядне десяткове число (на кожний десятковий розряд по 4 двійкові розряди); вхідний порт WE типу std_logic, подання '1' на який дозволяє запис у проміжний регістр блоку; сигнал синхронізації CLK типу std_logic, вісім 7-розрядних вихідних портів LCD7 … LCD0 типу std_logic_vector (6 downto 0), що підключаються безпосередньо до відповідних розрядів 7-сегментного індикатора. Константи generic При компонуванні складних об’єктів часто виникає необхідність у зміні параметрів компонентів. Можливість такої зміни без перекомпілювання компоненти дає застосування констант generic. Ці константи розміщуються в розділі опису об’єкта entity. Синтаксисконстант generic наведено нижче: entity Entity_Name is generic (a,b,c: integer range 0 to 255; d: Std_Logic); port(port_list); end Entity_Name; Як видно з наведеного прикладу, константам, описаним у розділі generic, не присвоюється конкретне значення на етапі компіляції об’єкта, однак вирази, що містять ці константи, є локально-статично визначеними. Це дає можливість застосовувати константи generic для задавання діапазонів індексів масивів, підтипів тощо. Конкретне значення цим константам присвоюється при включенні об’єкта як компонента складного об’єкта. Тексти VHDL-описів, що були розроблені в роботі. decoder.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; entity Decoder5 is port( X : in STD_LOGIC_VECTOR(3 downto 0); Y : out STD_LOGIC_VECTOR(6 downto 0) ); end Decoder5; architecture Decoder5 of Decoder5 is begin Show:process(X) begin case X is when "0000" => Y<="1110111"; when "0001" => Y<="0100100"; when "0010" => Y<="1011101"; when "0011" => Y<="1101101"; when "0100" => Y<="0101110"; when "0101" => Y<="1101011"; when "0110" => Y<="1111011"; when "0111" => Y<="0100101"; when "1000" => Y<="1111111"; when "1001" => Y<="1101111"; when others => Y<="0000000"; end case; end process Show; end Decoder5; demux.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; entity DeMUX is port( CLK : in STD_LOGIC; X : in STD_LOGIC_VECTOR(31 downto 0); Y7 : out STD_LOGIC_VECTOR(3 downto 0); Y6 : out STD_LOGIC_VECTOR(3 downto 0); Y5 : out STD_LOGIC_VECTOR(3 downto 0); Y4 : out STD_LOGIC_VECTOR(3 downto 0); Y3 : out STD_LOGIC_VECTOR(3 downto 0); Y2 : out STD_LOGIC_VECTOR(3 downto 0); Y1 : out STD_LOGIC_VECTOR(3 downto 0); Y0 : out STD_LOGIC_VECTOR(3 downto 0) ); end DeMUX; architecture DeMUX of DeMUX is begin process (X) begin Y0<=X(3 downto 0); Y1<=X(7 downto 4); Y2<=X(11 downto 8); Y3<=X(15 downto 12); Y4<=X(19 downto 16); Y5<=X(23 downto 20); Y6<=X(27 downto 24); Y7<=X(31 downto 28); end process; end DeMUX; rx.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; entity rx is generic (Width:Integer:=31); port( CLK : in STD_LOGIC; RE : in STD_LOGIC; WE : in STD_LOGIC; DATA_IN : in STD_LOGIC_VECTOR(Width downto 0); DATA_OUT : out...
Антиботан аватар за замовчуванням

13.09.2013 12:09

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини