Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

ЗВІТ до лабораторної роботи №1

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Не вказано
Кафедра:
Не вказано

Інформація про роботу

Рік:
2016
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Інформаційні технології
Група:
БІ 21

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ «ЛЬВІВСЬКА ПОЛІТЕХНІКА»  ЗВІТ до лабораторної роботи №1 на тему: «Знайомство з інегрованим середовищем розробки AVR Studio та програмою симуляції Proteus. Написання та відлака простих програм для AVR-мікроконтролерів» Мета роботи: Ознайомитись з послідновністю створення та відладки програмно-апаратних засобів на основі мікроконтроллерів сімейства AVR у програмах AVR Studio та Proteus 8. Завдання: Частота мигання світлодіода (Гц): 18. Лістинг програми отриманої в AVR Studio .include "2313def.inc" .def temp = r16 .def Delay1 = r17 .def Delay2 = r18 .def Delay3 = r19 .equ SWITCH = PD0 .equ FCLK = 5000000 .equ N_Blick = (FCLK/18 - 15)/5 .cseg .org 0 ldi temp, 0xDF out SPL, temp ldi temp, 0b11111111 out DDRB, temp out PORTB, temp sbi PORTD, 0 Work: in temp, PIND andi temp, (1<<SWITCH) brne Work No_Work Loop: ldi Delay1, low(N_Blick) ldi Delay2, high(N_Blick) ldi Delay3, byte3(N_Blick) rcall Delay ldi temp, 0b00000000 out PORTB, temp ldi Delay1, low(N_Blick) ldi Delay2, high(N_Blick) ldi Delay3, byte3(N_Blick) rcall Delay ldi temp, 0b11111111 out PORTB, temp in temp, PIND andi temp, (1<<SWITCH) brne Work rjmp loop Delay: subi Delay1, 1 sbci Delay2, 0 sbci Delay3, 0 brcc Delay nop ret Схема симуляції в Proteus При вимкненій кнопці: / При натисканні на кнопку: / Висновок: У ході цієї лабораторної роботи я ознайомилася з послідовністю створення та відладки програмно-апаратних засобів на основі мікроконтроллера сімейства AVR у програмі AVR Studio та Proteus 8.
Антиботан аватар за замовчуванням

27.11.2016 20:11

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини