Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Поведінкове проектування пристрою шифрування

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
О
Факультет:
КНІТ
Кафедра:
Не вказано

Інформація про роботу

Рік:
2015
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Комп ютерні методи високорівневого проектування систем захисту

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ, МОЛОДІ ТА СПОРТУ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ «ЛЬВІВСЬКА ПОЛІТЕХНІКА» Кафедра БІТ  Звіт до лабораторної роботи № 3 «Поведінкове проектування пристрою шифрування» з навчальної дисципліни: “ Комп`ютерні методи високорівневого проектування пристроїв захисту ” Львів – 2015 Мета роботи – ознайомитись з принципами створення структурних описів мовою VHDL та розробити функціональну модель пристрою шифрування, виконати його функціональну симуляцію. Основні теоретичні відомості Структурний опис мовою VHDL. Декларування та опис інтерфейсу елемента. Цифрова схема складається із цифрових схемотехнічних елементів. Елемент цифрової схеми має вхідні і вихідні порти для взаємодії із оточуючим обладнанням. Елементи можуть бути об’єднані в групи та утворювати таким чином компоненти цифрової схеми, що належать їй на деякому рівні ієрархії. Синтаксис декларації елемента наступний: ENTITY <ім’я> IS <заголовок> BEGIN <тіло> END <ім’я>; В заголовку елемента описують його інтерфейс і декларують характеристики, що використовуються в тілі. В заголовку також можуть бути записані директиви для виконання симуляції та вказуватись інтерфейсні константи типу GENERIC, які передають інформацію до елемента від зовнішнього середовища. Дійсні значення констант передаються до елемента під час його використання. Інтерфейсні порти описують так само, як і константи типу GENERIC, але вони повинні належати до класу SIGNAL. Синтаксис цього класу такий: SIGNAL <список ідентифікаторів>: [<режим>] <тип> [BUS] [:= <статистичний вираз>] Оскільки інтерфейс ний порт за замовчуванням належить до класу SIGNAL, ключове слово SIGNAL можна пропускати. Слово BUS (шина) вказує, що порт буде під’єднано до декількох виходів. Приклад: ENTITY processor IS GENERIC (max_clock_freq: frequency := 130MHz); PORT (clock: IN bit; address: OUT integer; data: INOUT word_32; control: OUT proc_control; ready: IN bit); END processor; У наведеному прикладі константа max_clock_freq вказує на часові властивості елемента. Константа GENERIC може вживатися для визначення структурних характеристик елемента (наприклад, значення розрядності даних і адрес). Опис архітектури елемента. Архітектуру елемента описують в секції ARCHITECTURE, яку розміщують після опису його інтерфейсу. Кожен елемент може мати кілька описів архітектури. У тілі архітектури елемента розміщують його функціональні (поведінкові чи рівня міжрегістрових передач) і конструктивні (структурні) описи. Декларування сигналів. Сигнали використовуються для з’єднання компонентів, що описані в архітектурі елемента, між собою. Початкове значення присвоюється сигналу на фазі ініціалізації під час моделювання. Якщо вираз для присвоєння початкового значення не вказано, то буде використовуватись значення за замовчуванням. Зазначимо, що порти елемента слід розуміти як сигнали в межах цього елемента. Декларування компонентів. У тілі архітектури елемента дозволяється використовувати вкладені елементи з бібліотек та описувати власні, які є компонентами елемента. Компоненту потрібно задекларувати в тілі архітектури елемента. Синтаксис декларації компонента такий: COMPONENT <ідентифікатор> [GENERIC (…)]; [PORT(…)]; END COMPONENT; Поліалфавітні шифри. Поліалфавітні шифри – це сімейство симетричних шифрів на основі заміщення, побудованих із використанням кількох алфавітів. Яскравими представниками цього класу шифрів є алгоритми Цезаря, Віженера, Гронсфельда. Основними характеристиками поліалфавітних шифрів є:  - символ відкритого тексту;  - символ зашифрованого тексту;  - потужність алфавіту (наприклад, англійського);  - значення ключа. 2.1 Шифр Цезаря. Шифр Цезаря (названий іменем римського імператора Гая Юлія Цезаря, який використовував його для секретної переписки із своїми генералами), також відомий як шифр зсуву – один із найпростіших і найвідоміших поліалфавітних шифрів. У цьому шифрі кожний символ відкритого тексту замінюється символом, який знаходиться в алфавіті на деякій позиції лівіше або пр...
Антиботан аватар за замовчуванням

17.05.2017 16:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини