Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Створення VHDL-моделі комп’ютерного пристрою засобами автоматичного генерування

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
ІКТА
Факультет:
КНІТ
Кафедра:
Не вказано

Інформація про роботу

Рік:
2016
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Комп ютерні методи високорівневого проектування систем захисту

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ «ЛЬВІВСЬКА ПОЛІТЕХНІКА» ІКТА Кафедра БІТ  Звіт до лабораторної роботи № 5 з дисципліни: «Комп’ютерні методи високорівневого проектування пристроїв захисту» на тему: «Створення VHDL-моделі комп’ютерного пристрою засобами автоматичного генерування» Варіант № 10 Львів - 2016 Мета роботи: вивчення реалізації процедур і функцій на мові VHDL, набуття практичних навичок використання засобів файлового введення-виведення в програмах на мові VHDL, вивчення принципів побудови систем тестування програмних моделей комп’ютерних пристроїв та набуття практичних навичок їх створення на мові VHDL. ТЕОРЕТИЧНІ ВІДОМОСТІ Технологія та засоби автоматичного генерування програмних моделей комп’ютерних пристроїв З метою спрощення та прискорення процесу створення програмних моделей процесорів необхідно підвищувати рівень автоматизації засобів проектування, з допомогою яких ці програмні моделі створюються. Сьогодні це завдання вирішується шляхом створення генераторів програмних моделей комп’ютерних пристроїв (ПМКП). Генератором називають програмний засіб, що містить одну або більше конфігуровну програмну модель комп’ютерного пристрою та засоби її автоматичного конфігурування, що на основі введених користувачем конфігураційних параметрів генерує відповідну програмну модель. Програмна модель зазвичай представляється мовою опису апаратних засобів. Принцип роботи генератора показано на рис. 1.  Рис. 1. Принцип роботи генератора ПМКП Результатами роботи генератора є ПМКП мовами опису апаратних засобів, системи тестування, командні скрипти для виконання їх компіляції, симуляції та логічного синтезу, документація користувача та ін. Кількість генерованих ПМКП визначається кількістю конфігураційних параметрів. Генеровані моделі є технологічно незалежними і можуть згодом бути синтезовані засобами синтезу різних виробників. Саме таким способом реалізовано системи генерування ПМКП IP Core Generator фірми Aldec та Core Generator System фірми Xilinx. Засоби автоматичного генерування програмних моделей комп’ютерних пристроїв IP Core Generator фірми Aldec Система генерування IP Core Generator, що входить в середовище проектування Active-HDL, раніше була незалежним продуктом із назвою LPM Generator. Можна генерувати програмні моделі мовами VHDL та Verilog та основі їх конфігуровних моделей. Система володіє достатньо широким діапазоном вибору конфігураційних параметрів та має текстову документацію із описами кожної генерованої моделі. Для запуску генератора потрібно у середовищі Active-HDL вибрати опцію Tools > IP Core Generator. Початкове меню програми містить опис модулів, в якому пояснюється поведінка, специфікація та діапазон зміни розмірів портів для кожного типу генерованих елементів. Деревоподібне меню навігації, розміщене у лівій частині вікна, надає доступ до елементів, програмні моделі яких можна згенерувати, і поділене на вісім тематичних груп: базові елементи: мультиплексори, демультиплексори, тригери, генератори констант та ін.; модулі виконання арифметичних функцій: арифметико-логічні пристрої, суматори/віднімачі, компаратори, акумулятори, перемножувачі, подільники; елементи послідовної логіки: лічильники, регістри зсуву, тригери-защіпки та ін.; пам’ять: асоціативна, з довільним доступом (оперативна, постійна), з послідовним доступом (FIFO, LIFO); фільтри: послідовні і паралельні арифметичні FIR-фільтри, сортувальні фільтри; перетворювачі кодів; елементи систем тестування: осцилятори, генератори аналогових сигналів, підпрограми роботи із текстовими файлами; мікроконтролери; контролери інтерфейсів; програмні моделі спеціалізованих процесорів: прямого та інверсного дискретного косинусного перетворення, шифрування за алгоритмом DES, автентифікації за алгоритмом SHA-1, декодер Вітербі, контролери інтерфейсів USB та USB2. Деякі із вищенаведених програмних елементів доступні лише на замовлення. Для програмної моделі вказуються назви моделі генерованого пристрою та його архітектури, назви ...
Антиботан аватар за замовчуванням

17.05.2017 16:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини