Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Розробка VHDL моделі комп’ютера

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
ІКТА
Факультет:
Комп'ютерна інженерія
Кафедра:
ЕОМ

Інформація про роботу

Рік:
2024
Тип роботи:
Курсова робота
Предмет:
Дослідження та проектування комп’ютерних систем і мереж
Група:
КІ 5

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ «ЛЬВІВСЬКА ПОЛІТЕХНІКА» Кафедра ЕОМ / КУРСОВА РОБОТА з дисципліни: «Дослідження і проектування комп'ютерних систем та мереж» на тему: «Розробка VHDL моделі комп’ютера» Львів – 2017 АНОТАЦІЯ В курсовій роботі розроблено тестову програму та на її основі реалізовано VHDL модель одноциклового RISC комп’ютера з архітектурою SPIM. Також досліджено середовище розробки САПР Xilinx WebPack, та ознайомлено з основними принципами його роботи. Проведено часову верифікацію комп’ютера та експериментально підтверджено результати, які були прогнозовані на початку проектування. ЗМІСТ ВСТУП 4 1. ВИХІДНІ ДАНІ НА ПРОЕКТУВАННЯ 5 2. РОЗРОБКА АРХІТЕКТУРИ РІВНЯ МАШИННИХ ІНСТРУКЦІЙ 6 3. СИНТЕЗ СТРУКТУРИ SPIM RISC КОМП’ЮТЕРА 9 4. РОЗРОБКА ТЕСТОВОЇ ПРОГРАМИ 11 5. РОЗРОБКА VHDL МОДЕЛІ КОМП’ЮТЕРА 13 5.1. Розробка VHDL моделі процесора 13 5.1.1. Модуль ID 13 5.1.2. Модуль EXE 15 5.1.3. Модуль керування 17 5.2. Розробка VHDL моделі пам’яті програм 18 5.3. Розробка VHDL моделі пам’яті даних 20 5.4. Розробка VHDL моделі комп’ютера 22 6. СИНТЕЗ І ІМПЛЕМЕНТУВАННЯ VHDL МОДЕЛІ КОМП’ЮТЕРА 25 7. ВЕРИФІКАЦІЯ РЕЗУЛЬТАТІВ ПРОЕКТУВАННЯ 26 ВИСНОВКИ 28 СПИСОК ВИКОРИСТАНОЇ ЛІТЕРАТУРИ 29 ВСТУП Одним з перспективних напрямків проектування цифрових пристроїв є їх синтез на основі поведінкового опису, виконаний розробниками на мові програмування класу HDL. Основними складовими частинами процесу проектування є: 1. Етап передпроектних досліджень – вивчення потреб науково-технічних досягнень наявних ресурсів. 2. Технічне завдання, яке включає:  призначення системи;  умови експлуатації, які є виражені якісними або часовими характеристиками;  вимоги до вихідних параметрів, виражені у вигляді умов працездатності. 3. Технічна пропозиція – визначає основні принципи побудови системи, можливість і доцільність проектування. 4. Ескізний проект – перевірка коректності основних принципів і положень, що визначають функціональність майбутнього об’єкта. 5. Технологічний проект – передбачає всебічну розробку частин проекту, конкретних технологічних рішень. 6. Робочий проект – формування всієї необхідної документації для виготовлення системи, створення і випробування дослідного зразка або пробної партії. 7. Випробування і ввід в експлуатацію – за результатами випробування в проектну документацію вносять зміни після чого здійснюється впровадження у виробництво. ВИХІДНІ ДАНІ НА ПРОЕКТУВАННЯ Розробити тестову програму та на її основі VHDL модель одноциклового RISC комп’ютера з архітектурою SPIM (MIPS, DLX). VHDL модель синтезувати і імплементувати. Цільовою є ПЛІС фірми Xilinx, а інструментом проектування – САПР Xilinx WebPack. Верифікувати імплементовану модель часовим симулюванням її поведінки на рівні вентилів. Експериментально підтвердити отримане під час синтезу та імплементування досягнуте значення тактової частоти. Надати оцінки споживаної потужності. Отримати засобами САПР Xilinx WebPack функційні (RTL) схеми імплементації комп'ютера та оформити їх кресленнями. Проаналізувати згенеровані САПР Xilinx WebPack технологічні схеми імплементації проекту. Розглянути основні принципи побудови принципових схем платформ, що містять цільову ПЛІС проекту та вибрати готову апаратну платформу для подальшої емуляції розробленого комп'ютера. В таблиці 1 представлено вихідні дані на проектування. Табл.1 Вихідні дані на проектування № Варіант 1  1 Розрядність даних 8  2 Розрядність інструкцій 32  3 Число регістрів 16  4 Місткість пам’яті інструкцій, КБ 2  5 Місткість пам’яті даних, КБ 2  6 Число реалізованих машинних інструкцій ≥5  7 Цільова ПЛІС Virtex2  8 Системна тактова частота, МГц ≥40  9 Довжина тестової програми, інструкцій ≥8  РОЗРОБКА АРХІТЕКТУРИ РІВНЯ МАШИННИХ ІНСТРУКЦІЙ RISC (англ. Reduced Instruction Set Computing − комп'ютер зі скороченим набором команд) − архітектура процесорів зі скороченим набором команд. Ще відома як "Load/Store" архітектура. Найвідоміші представни...
Антиботан аватар за замовчуванням

26.12.2017 22:12

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини