Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Розробка спеціалізованого перемножувача

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
КН
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2024
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Основи проектування цифрових засобів на ПЛІС

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ “ЛЬВІВСЬКА ПОЛІТЕХНІКА” / Кафедра ЕОМ Звіт з лабораторної роботи №3 з дисципліни «Основи проектування цифрових засобів на ПЛІС» на тему: «Розробка спеціалізованого перемножувача» Тема: Розробка спеціалізованого перемножувача. Мета роботи: Проектування та перевірка спеціалізованого перемножувача на константу. Постановка задачі: Розробити спеціалізований перемножувач на константу. Покроковий опис кожного етапу оптимізації За варіантом, наша константа рівна: / Обраховуєм та переводимо у двійкову систему числення(32 біти, з яких першим є «0»): / / Переведемо дробову частину в десяткову систему числення: 0 110 0011 0011 0010 0100 1110 1001 10112= 166424130710 Оптимізуємо наш вираз: 0 110 0011 0011 0010 0100 1110 1001 10112 Крок1: 110112 заміняємо на 1001012 (27 на 32-5): 0 110 0011 0011 0010 0100 1110 1010 01012 Крок2: 111012 заміняємо на 1000112 (29 на 32-3): 0 110 0011 0011 0010 0101 0001 1010 01012 Отже, в оптимізованому варіанті буде на 2 одиниці менше Підкресленні одинички ми будемо віднімати. У неоптимізованого варіанту у VHDL коді число буде таким: kiv_prod <= (kiv_p0 + kiv_p1 + kiv_p3 + kiv_p4 + kiv_p7 + kiv_p9 + kiv_p10 + kiv_p11 + kiv_p14 + kiv_p17 + kiv_p20 + kiv_p21 + kiv_p24 +kiv_p25 + kiv_p29 + kiv_p30); У оптимізованого варіанту у VHDL коді число буде таким: kiv_prod <= (kiv_p5 +kiv_p12 + kiv_p14 + kiv_p17 + kiv_p20 + kiv_p21+ kiv_p24 +kiv_p25 + kiv_p29 + kiv_p30) - kiv_p0 -kiv_p2- kiv_p7 - kiv_p8; Перевірку зробимо під час побудови діаграм, зрівнявши 1 і 2 спосіб(оптимізований та неоптимізований) з 3 способом ( IP – ядро) в якому константа вже задана. Vhdl код реалізації оптимального множення на константу Розглянемо тільки оптимальний варіант множення /Результат синтезу схеми HDL Synthesis Report Macro Statistics # Adders/Subtractors : 28 40-bit adder : 24 40-bit subtractor : 4 ========================================================================= * Final Report * ========================================================================= Final Results RTL Top Level Output File Name : kiv_sw.ngr Top Level Output File Name : kiv_sw Output Format : NGC Optimization Goal : Speed Keep Hierarchy : No Design Statistics # IOs : 127 Cell Usage : # BELS : 890 # GND : 1 # INV : 107 # LUT1 : 18 # LUT2 : 64 # LUT3 : 69 # LUT4 : 96 # MULT_AND : 27 # MUXCY : 245 # MUXF5 : 2 # VCC : 1 # XORCY : 260 # IO Buffers : 127 # IBUF : 8 # OBUF : 119 ========================================================================= Device utilization summary: Number of Slices: 244 out of 6144 3% Number of 4 input LUTs: 354 out of 12288 2% Number of IOs: 127 Number of bonded IOBs: 127 out of 240 52% --------------------------- Partition Resource Summary: Delay: 22.234ns (Levels of Logic = 53) Total 22.234ns (11.634ns logic, 10.600ns route) (52.3% logic, 47.7% route) Total REAL time to Xst completion: 33.00 secs Total CPU time to Xst completion: 33.57 secs Результат синтезу схеми перемножувача розробленого на основі ip-core Створюємо IP- ядро та вибираємо розподілену пам’ять / Формуємо з...
Антиботан аватар за замовчуванням

22.03.2018 19:03

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини