Розробка конструкції та технології виготовлення комірки комп’ютера засобами САПР

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
КН
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2013
Тип роботи:
Курсова робота
Предмет:
Технології проектування комп’ютерних систем

Частина тексту файла (без зображень, графіків і формул):

Міністерство освіти і науки України Національний університет "Львівська Політехніка" Кафедра ЕОМ Курсова робота з дисципліни “Технології проектування комп’ютерних систем” на тему “Розробка конструкції та технології виготовлення комірки комп’ютера засобами САПР” Зміст ВСТУП…………………………………………………………………………….3 Постановка задачі……………………………………………………………4 Теоретична частина…………………………………………………………...5 Короткий опис стандарту PC/104………………………………………..5 Основні характеристики заданого кристалу ПЛІС……………………..9 Основні характеристики заданої периферійної частини……………….9 Розділ схемотехнічного проектування…………………………………….12 Проектування функціональної схеми модуля………………………….12 Вибір адресного простору портів вводу/виводу модуля……………..13 Розробка VHDL-коду реалізації ядра модуля…………………………14 Моделювання VHDL-коду реалізації ядра модуля……………………16 Файл часових та топологічних обмежень………………………………17 Реалізація ядра модуля в ПЛІС………………………………………….20 Проектування принципової електричної схеми модуля……………….23 Розділ конструкторського проектування………………………………….26 Проектування друкованої плати модуля………………………………..26 Генерація вихідної документації на плату модуля……………………..29 Корекція файлу часових та топологічних обмежень…………………..30 ВИСНОВКИ ……………………………………………………………………..31 ЛІТЕРАТУРА ……………………………………………………………………32 ДОДАТКИ………………………………………………………………………..33 Додаток 1. Принципова електрична схема модуля……………………………33 Додаток 2. Складальне креслення друкованої плати (вигляд зверху). . . . …………………………………………………………………………………….35 Додаток 3. Складальне креслення друкованої плати (вигляд знизу в дзеркальному відображенні) …………………………………………………...36 Додаток 4. Креслення друкованої плати (вигляд зверху)…………………….37 Додаток 5. Креслення друкованої плати (вигляд знизу в дзеркальному відображенні) …………………………………………………………………...38 Вступ Мета курсової роботи полягає в опануванні технологій та методів проектування компонентів комп’ютерних систем на прикладі створення модуля розширення для промислового комп’ютеру формфактору PC/104. Промисловий комп’ютер – це універсальний комп’ютер, призначений для промислового застосування. Він відрізняється від звичайного комп’ютеру конструкцією, яка враховує вимоги до обладнання, що працює, як правило, в несприятливих умовах (підвищена вібрація, забруднена атмосфера, підвищена вологість, підвищені або понижені температури). Його характеристики застосовуються в складі керуючих, контролюючих та вимірювальних комплексів в промисловості, для створення систем на базі програмованих логічних комплексів, в якості складових частин діагностичних комплексів в медицині, в якості апаратної платформи для реалізації віртуалізації та людино-машинного інтерфейсу. 1. Постановка задачі Розробити модуль розширення формфактору PC/104 за варіантом № 4212. Згідно варіанту задаються: тактова частота – 50 MHz. тип ПЛІС FPGA сімейства Spartan-II фірми Xilinx – XC2S100-5PQ208C. тип периферії – DAC7545JU. базова адреса модуля на АТ-шині – 0x01C0; 2. Теоретична частина 2.1 Короткий опис стандарту PC/104 Протягом минулого десятиліття стала прийнятою платформою для значно більш широкого застосування ніж настільні прикладні системи. Спеціалізовані та вбудовані прикладі системи для PC використовуються як контролери в лабораторному обладнанні, пристроях зв’язку, медичному обладнані тощо. Однак стандартний формфактор шини PC і його плати були занадто великі для вбудованих застосувань в галузі контролю та керування. Тому виникла потреба для більш компактного виконання шини PC, яка би задовольнила вимоги до обмеженого установочного простору і одночасно повною апаратною і програмною сумісністю з популярним стандартом шини PC, що дозволило б апаратні засоби PC, програмне забезпечення та інструментальні засоби розробки зробити повністю сумісними з вбудованими контролерами. PC/104 був розроблений у відповідь на цю потребу. Цей стандарт пропонує архітектуру, апаратні засоби і програмну сумісність з шиною PC але в ультра компактному нарощуваному модулі 90, 17х95,89мм або 3,550”x3,775”, н На відміну від шини ISA, яка має крайовий ламельний роз’єм, PC/104 має контакти на двох конекторах 64-вивідному і 40-вивідному. Модулі PC/104 можуть мати 2 типи шин: 8 біт; 16 біт; Електрично та логічно сигнали PC/104 відповідають сигналам шини ISA. На сьогодні існує величезна кількість модулів вводу/виводу в стандарті PC/104 від аналогово-цифрових перетворювачі до мережевих інтерфейсів, плоско-панельних LCD моніторів і PCMCIA пристроїв, що використовуються в медичних, транспортних і промислових системах. Конструкція та розміри модуля формфактору PC/104 наведені на рис.2.1. Всі розміри наведено в дюймах.  Рис.2.1. Формфактор модуля PC/104. В таблиці 2.1 наведено список сигналів АТ-шини на роз’ємах модуля формфактору PC/104. Таблиця 2.1. Список сигналів АТ-шини.  PC/104 створена на базі 8-розрядного варіанта шини ISA. Відмінною особливістю механічного конструктиву PC/104 є розташування роз’ємів не накраю плати а перпендикулярно до неї, що дозволяє встановлювати плати один на одного у стековій структурі. Така конструкція дозволяє зібрати до 3-6 плат в одну велику структуру і розмістити його в компактному герметичному корпусі, який буде мати більшу ударостійкість. Подібний підхід широко застосовується в авіабудуванні, космонавтиці, військовій техніці. Недоліки: Повільна шина ISA - морально застаріла; Гарячої заміни плат не може бути в принципі, так як розібрати надбану структуру із кількох плат непросто; Переваги: Повна сумісність з персональними комп'ютерами; Можна використовувати в якості плати мезоніном; Шина ISA дуже добре вивчена, багато фахівців; Велика швидкість зазвичай не потрібна, при необхідності можна використовувати PC/104 +; Велика ударостійкість; 2.2 Основні характеристики заданого кристалу ПЛІС Згідно завдання вибрано ПЛІС FPGA сімейства Spartan-II фірми Xilinx XC2S100-5PQ208C. Розберемо його маркування: Тип пристрою: XC2S100; Оцінка швидкості: 5 (стандартна продуктивність); Кількість контактів / тип упаковки: PQ 208 (208-вивідний пластиковий QFP); Діапазон температур(TJ): C (комерційна, 0°C - +85°C); Основні характеристики пристроїв типу XC2S100: Логічних елементів – 2700; Системних воріт(логічних і RAM) – 100 000; CLB Масив (R х С) – 20х30; Загальний CLBs – 600; Максимально доступно користувачу I/O – 176 (для PQ208 140); Загальна розподілена RAM в бітах – 24576; Загальний блок пам'яті в бітах – 40К; Оскільки дана ПЛІС потребує ініціалізацію при її увімкненні то було використано конфігураційний ПЗП XCF01SVOG20C фірми Xilinx для збереження конфігурацій. 2.3 Основні характеристики заданої периферії Периферія за варіантом: цифрово – аналоговий перетворювач DAC7545JU фірми Texas Instruments: FOUR-QUADRANT MULTIPLICATION; LOW-GAIN TC: 2ppm/oC typ; MONOTONICITY ENSURED OVER TEMPERATURE; SINGLE 5V TO 15V SUPPLY; TTL/CMOS LOGIC COMPATIBLE; LOW OUTPUT LEAKAGE: 10nA max; LOW OUTPUT CAPACITANCE: 70pF max; DIRECT REPLACEMENT FOR THE AD7545,PM-7545. Розпіновка (рис. 2.3.1):  Рис. 2.3.1. Розміщення та назва виводів DAC7545JU Цикл запису (рис. 2.3.2):  Рис. 2.3.2. Часова діаграма циклу запису DAC7545JU Схема включення (рис. 2.3.3):  Рис. 2.3.3. Схема включення для Уніполярних Бінарних Операцій. Примітка: Як видно з Рисунку 2.3.3, для коректної роботи перетворювача, у схему потрібно також включити операційний підсилювач OPA640. Експлуатаційна інформація (рис. 2.3.4):  Рис. 2.3.4. Експлуатаційна інформація DAC7545JU. 3. Розділ схемо-технічного проектування 3.1 Проектування функціональної схеми модуля Функціональна схема модуля наведена на рис.3.1.1.1. До її складу входять: ядро модуля – цифрова частина модуля, яка буде реалізована в ПЛІС, і до складу якої входять: дешифратор адреси – забезпечує керування модулем з боку AT-шини за сигналами SA15..SA0 , AEN, ,, а також формує сигнал ; вхідний регістр – забезпечує проміжне зберігання вхідних даних для передачі їх на AT-шину (читання здійснюється по лініях SD15..SD0 ); регістр ідентифікації – забезпечує читання з модуля коду ідентифікації 0x4212 з боку AT-шину (читання здійснюється по лініях SD15..SD0 ); Перетворювач 16-розрядного вхідного коду від АТ-шини у послідовний для ЦАП; Генератор тактових імпульсів для ЦАП та сигнал для управління ЦАП; 12-розрядний ЦАП – забезпечує перетворення цифрового сигналу у аналоговий; генератор CLK – забезпечує синхронізацію роботи схеми на частоті 50 MHz; конфігураційний ПЗП з реалізацією ядра модуля;  Рис.3.1.1.1. Функціональна схема модуля 3.2 Вибір адресного простору портів вводу/виводу модуля Вибір адресного простору портів вводу/виводу для забезпечення доступу до регістрів модуля з боку AT-шини здійснюється з таких міркувань: базова адреса модуля згідно завдання – 0x01C0, кількість портів вводу/виводу їх режими та розрядність вибираються з тим, щоби забезпечити доступ до всіх потрібних регістрів ядра модуля. В табл.3.2 наведено вибраний розподіл адресного простору розроблюваного модуля: Таблиця 3.2 Розподіл адресного простору портів вводу/виводу Адреса порту Режим порту Розрядність порту Регістр модуля  0x01C0 Читання 16 Регістр ідентифікатора  0x01C2 Запис 16 Вихідний регістр   3.3 Розробка VHDL–коду реалізації ядра модуля Проектування ядра модуля, яке буде реалізовуватись в ПЛІС, виконується на мові VHDL в САПР Aldec Active-HDL. Ядро модуля повинно забезпечувати: інтерфейс з AT-шиною, інтерфейс з периферією. Спроектований VHDL-код: code.vhd: library IEEE; use IEEE.STD_LOGIC_1164.all; library UNISIM; use UNISIM.vcomponents.all; entity Module is port( -- тактова частота 50 MHz CLK : in std_logic; -- інтерфейс AT-шини AT_SA : in std_logic_vector(15 downto 0); AT_AEN : in std_logic; AT_SD : inout std_logic_vector(15 downto 0); AT_IOR : in std_logic; AT_IOW : in std_logic; AT_IOCS16 : out std_logic; -- зовнішній інтерфейс EX_DO : out std_logic_vector(11 downto 0); EX_WE : out std_logic ); end Module; architecture Module of Module is ---- бзова адреса модуля constant BASE_ADDR : std_logic_vector(15 downto 0) := X"01C0"; ---- ідентифікатор модуля constant MODL_IDNT : std_logic_vector(15 downto 0) := X"4212"; ---- внутрішні сигнали -- reset signal RST : std_logic; -- буфери AT-шини signal B_AT_SA : std_logic_vector(15 downto 0); signal B_AT_AEN : std_logic; signal B_AT_SD : std_logic_vector(15 downto 0); signal B_AT_IOR : std_logic; signal B_AT_IOW : std_logic; -- внутріншя шина даних signal IN_AT_SD : std_logic_vector(15 downto 0); -- співпадіння адреси AT-шини signal ADDR_OK : std_logic; -- внутрішні регістри -- signal IN_EX_DI : std_logic_vector(7 downto 0); signal IN_EX_DO : std_logic_vector(11 downto 0); signal IN_EX_WE : std_logic; begin -- реалізація ініціалізації модуля ROC_L: ROC port map (O => RST); -- зовнішня вихідна шина та сигнал EX_DO <= IN_EX_DO; EX_WE <= IN_EX_WE; -- перевірка адреси AT-шини ADDR_OK <= '1' when B_AT_SA(15 downto 2) = BASE_ADDR(15 downto 2) and B_AT_AEN = '0' else '0'; -- формування сигналу IOCS16 AT_IOCS16 <= '0' when ADDR_OK = '1' else 'Z'; -- читання даних AT-шини AT_SD <= IN_AT_SD when ADDR_OK = '1' and B_AT_IOR = '0' else (others => 'Z'); -- реалізація дешифратора адреси process(CLK, RST) begin if RST = '1' then B_AT_SA <= (others => '0'); B_AT_AEN <= '1'; B_AT_SD <= (others => '0'); B_AT_IOR <= '1'; B_AT_IOW <= '1'; IN_EX_WE <= '1'; IN_AT_SD <= (others => '0'); IN_EX_DO <= (others => '0'); elsif rising_edge(CLK) then -- буферизація AT-шини B_AT_SA <= AT_SA; B_AT_AEN <= AT_AEN; B_AT_SD <= AT_SD; B_AT_IOR <= AT_IOR; B_AT_IOW <= AT_IOW; IN_EX_WE <= AT_IOW; -- підготування даних для читання case B_AT_SA(1 downto 0) is when "00" => -- регістр ідентифікації IN_AT_SD <= MODL_IDNT; when others => IN_AT_SD <= (others => '0'); end case; -- запис даних if ADDR_OK = '1' and B_AT_IOW = '0' then case B_AT_SA(1 downto 0) is when "10" => -- вихідний регістр IN_EX_DO <= B_AT_SD(11 downto 0); when others => end case; end if; end if; end process; end Module;   3.4 Моделювання VHDL–коду реалізації ядра модуля Моделювання VHDL-коду реалізації ядра модуля здійснюється по частинах також в САПР Aldec Active-HDL за допомогою набору створених макросів. Розроблений макрос для тестування модуля виконує: читання регістру ідентифікації, читання вхідного регістру, Текст макросу Macro.do: asim Module restart clear -wave nowave * wave CLK wave RST wave AT_SA wave AT_AEN wave AT_SD wave AT_IOR wave AT_IOW wave AT_IOCS16 wave B_AT_SA wave B_AT_AEN wave B_AT_SD wave B_AT_IOR wave B_AT_IOW wave IN_AT_SD wave ADDR_OK wave IN_EX_DO wave IN_EX_WE wave EX_DO wave EX_WE # початкові ініціалізації force CLK 0 0, 1 10000 -r 20000 force AT_SA 0 force AT_AEN 0 force AT_SD X"ZZZZ" force AT_IOR 1 force AT_IOW 1 force IN_EX_WE 1 run 200 ns # читання регістру ідентифікації force AT_SA X"01C0" force AT_IOR 1 0, 0 200 ns, 1 450 ns run 500 ns # запис вихідного регістру force AT_SA X"01C2" force AT_SD X"ZZZZ" 0, X"0123" 130 ns, X"0321" 480 ns force AT_IOW 1 0, 0 200 ns, 1 350 ns, 0 440 ns, 1 580 ns force IN_EX_WE 1 0, 0 200 ns, 1 350 ns, 0 440 ns, 1 580 ns run 600 ns run 100 ns   Результат роботи макросу у вигляді часової діаграми наведено на рис.3.4.1  Рис.3.4.1. Результат роботи макросу Macro.do 3.5 Файл часових та топологічних обмежень Перш ніж почати реалізацію ядра модуля в ПЛІС за допомогою САПР Xilinx WebPack ISE, було створено файл часових та топологічних обмежень .UCF, в якому задаються: тактова частота модуля (максимальна частота, яка присутня в проекті) – за завданням 50MHz, асоціації інтерфейсних сигналів модуля з виводами ПЛІС. Цей файл є текстовим, але був створений за допомогою графічних засобів САПР. На рис. 3.5.1 наведено вікно створення часових обмежень (Timing Constraints), а на рис. 3.5.2 – топологічних обмежень (Package Pins) утиліти Xilinx Pace.  Рис.3.5.1. Вікно створення часових обмежень (Timing Constraints).  Рис.3.5.2. Вікно створення топологічних обмежень (Package Pins). Отриманий в результаті файл .UCF: code.ucf: NET "CLK" TNM_NET = "CLK"; TIMESPEC "TS_CLK" = PERIOD "CLK" 50 MHz HIGH 50 %; #PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments NET "AT_AEN" LOC = "P57" ; NET "AT_IOCS16" LOC = "P58" ; NET "AT_IOR" LOC = "P59" ; NET "AT_IOW" LOC = "P60" ; NET "AT_SA<0>" LOC = "P17" ; NET "AT_SA<10>" LOC = "P31" ; NET "AT_SA<11>" LOC = "P33" ; NET "AT_SA<12>" LOC = "P34" ; NET "AT_SA<13>" LOC = "P35" ; NET "AT_SA<14>" LOC = "P36" ; NET "AT_SA<15>" LOC = "P37" ; NET "AT_SA<1>" LOC = "P18" ; NET "AT_SA<2>" LOC = "P20" ; NET "AT_SA<3>" LOC = "P21" ; NET "AT_SA<4>" LOC = "P22" ; NET "AT_SA<5>" LOC = "P23" ; NET "AT_SA<6>" LOC = "P24" ; NET "AT_SA<7>" LOC = "P27" ; NET "AT_SA<8>" LOC = "P29" ; NET "AT_SA<9>" LOC = "P30" ; NET "AT_SD<0>" LOC = "P41" ; NET "AT_SD<10>" LOC = "P5" ; NET "AT_SD<11>" LOC = "P6" ; NET "AT_SD<12>" LOC = "P7" ; NET "AT_SD<13>" LOC = "P8" ; NET "AT_SD<14>" LOC = "P9" ; NET "AT_SD<15>" LOC = "P10" ; NET "AT_SD<1>" LOC = "P42" ; NET "AT_SD<2>" LOC = "P43" ; NET "AT_SD<3>" LOC = "P44" ; NET "AT_SD<4>" LOC = "P45" ; NET "AT_SD<5>" LOC = "P46" ; NET "AT_SD<6>" LOC = "P47" ; NET "AT_SD<7>" LOC = "P48" ; NET "AT_SD<8>" LOC = "P3" ; NET "AT_SD<9>" LOC = "P4" ; NET "CLK" LOC = "P77" ; NET "EX_DO<0>" LOC = "P81" ; NET "EX_DO<10>" LOC = "P95" ; NET "EX_DO<11>" LOC = "P96" ; NET "EX_DO<1>" LOC = "P82" ; NET "EX_DO<2>" LOC = "P83" ; NET "EX_DO<3>" LOC = "P84" ; NET "EX_DO<4>" LOC = "P86" ; NET "EX_DO<5>" LOC = "P87" ; NET "EX_DO<6>" LOC = "P88" ; NET "EX_DO<7>" LOC = "P89" ; NET "EX_DO<8>" LOC = "P90" ; NET "EX_DO<9>" LOC = "P94" ; NET "EX_WE" LOC = "P61" ; #PACE: Start of PACE Area Constraints #PACE: Start of PACE Prohibit Constraints #PACE: End of Constraints generated by PACE   3.6 Реалізація ядра модуля в ПЛІС Реалізація ядра модуля в ПЛІС (отримання конфігураційного файлу) виконується за допомогою САПР Xilinx WebPack ISE. В процесі реалізації проект був проведений через такі стадії (рис.3.6.1): Synthesis – синтез проекту, Implement Design – реалізація проекту, яка поділяється на такі фази: Translate – трансляція проекту, Map – відображення проекту на фізичні ресурси кристалу, Place & Route – розміщення і трасування проекту в кристалі, Generate Programming File – створення конфігураційного файлу.  Рис. 3.6.1. Стадії реалізації проекту в ПЛІС в САПР Xilinx WebPack ISE. В результаті реалізації проекту в ПЛІС на кожній стадії генеруються відповідні звіти (Reports). Основна інформація з звітів наводиться нижче. Synthesis Report: ========================================================================= * Final Report * ========================================================================= Final Results RTL Top Level Output File Name : code.ngr Top Level Output File Name : code Output Format : NGC Optimization Goal : Speed Keep Hierarchy : NO Design Statistics # IOs : 50 Cell Usage : # BELS : 10 # GND : 1 # LUT2 : 2 # LUT3 : 1 # LUT4 : 5 # LUT4_D : 1 # FlipFlops/Latches : 45 # FDC : 29 # FDCE : 12 # FDP : 4 # Clock Buffers : 1 # BUFGP : 1 # IO Buffers : 49 # IBUF : 19 # IOBUF : 12 # OBUF : 13 # OBUFT : 5 # Others : 1 # ROC : 1 ========================================================================= Device utilization summary: --------------------------- Selected Device : 2s100pq208-5 Number of Slices: 26 out of 1200 2% Number of Slice Flip Flops: 43 out of 2400 1% Number of 4 input LUTs: 9 out of 2400 0% Number of IOs: 50 Number of bonded IOBs: 50 out of 140 35% IOB Flip Flops: 2 Number of GCLKs: 1 out of 4 25% --------------------------- Partition Resource Summary: --------------------------- No Partitions were found in this design. --------------------------- ========================================================================= TIMING REPORT NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT GENERATED AFTER PLACE-and-ROUTE. Clock Information: ------------------ -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ CLK | BUFGP | 45 | -----------------------------------+------------------------+-------+ Asynchronous Control Signals Information: ---------------------------------------- -----------------------------------+------------------------+-------+ Control Signal | Buffer(FF name) | Load | -----------------------------------+------------------------+-------+ RST(ROC_L:O) | NONE(B_AT_SA_6) | 45 | -----------------------------------+------------------------+-------+ Timing Summary: --------------- Speed Grade: -5 Minimum period: 9.127ns (Maximum Frequency: 109.565MHz) Minimum input arrival time before clock: 3.017ns Maximum output required time after clock: 15.414ns Maximum combinational path delay: No path found Timing Detail: -------------- All values displayed in nanoseconds (ns)   Map Report: Design Information ------------------ Command Line : C:\Xilinx92i\bin\nt\map.exe -ise C:/Kursova/Xilinx/Xilinx.ise -intstyle ise -p xc2s100-pq208-5 -cm area -pr b -k 4 -c 100 -tx off -o code_map.ncd code.ngd code.pcf Target Device : xc2s100 Target Package : pq208 Target Speed : -5 Mapper Version : spartan2 -- $Revision: 1.36 $ Mapped Date : Sun Feb 10 22:20:00 2013 Design Summary -------------- Number of errors: 0 Number of warnings: 1 Logic Utilization: Number of Slice Flip Flops: 1 out of 2,400 1% Number of 4 input LUTs: 9 out of 2,400 1% Logic Distribution: Number of occupied Slices: 7 out of 1,200 1% Number of Slices containing only related logic: 7 out of 7 100% Number of Slices containing unrelated logic: 0 out of 7 0% *See NOTES below for an explanation of the effects of unrelated logic Total Number of 4 input LUTs: 9 out of 2,400 1% Number of bonded IOBs: 49 out of 140 35% IOB Flip Flops: 44 Number of GCLKs: 1 out of 4 25% Number of GCLKIOBs: 1 out of 4 25%   Place & Route Report: Constraints file: code.pcf. Loading device for application Rf_Device from file 'v100.nph' in environment C:\Xilinx92i. "code" is an NCD, version 3.1, device xc2s100, package pq208, speed -5 Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000 Celsius) Initializing voltage to 2.375 Volts. (default - Range: 2.375 to 2.625 Volts) Device speed data version: "PRODUCTION 1.27 2007-04-13". Device Utilization Summary: Number of GCLKs 1 out of 4 25% Number of External GCLKIOBs 1 out of 4 25% Number of LOCed GCLKIOBs 1 out of 1 100% Number of External IOBs 49 out of 140 35% Number of LOCed IOBs 49 out of 49 100% Number of SLICEs 7 out of 1200 1%   3.7. Проектування електричної принципової схеми модуля Проектування схеми електричної принципової проводиться в САПР Altium Designer. У додатку 1 наведено електричну принципову схему, розбиту на три листи. Листи електричної принципової схеми містять таку інформацію: лист 1 – це схема верхнього рівня, в якій показано сигнальні зв’язки між рештою листів схеми, лист 2 – частину загальної принципової схеми, до якої відноситься ПЛІС FPGA XC2S100-5PQ208C фірми Xilinx (U101) та всі її зв’язки, що відповідають файлу .UCF VHDL- проекту ядра модуля, лист 3 – містить решту принципової схеми, а саме: 12-розрядний ЦАП DAC7545JU фірми Texas Instruments (U203) та операційний підсилювач OPA640 (U204), який необхідний для роботи перетворювача; генератор тактової частоти CLK CB3LV-3C-50.000-T фірми CTS (U205), який забезпечує синхронізацію роботи схеми на частоті 50 MHz; конфігураційний ПЗП XCF01SVOG20C фірми Xilinx (U206), в якому зберігається конфігураційний файл для ПЛІС; DC/DC регулятори напруг живлення +3.3V і +2.5V MCP1827S-3302E/EB і MCP1827S-2502E/EB відповідно фірми Microchip (U201, U202), які формують живлення для ПЛІС FPGA, генератора тактової частоти CLK та конфігураційного ПЗП з вхідних +5.0V, роз’єми AT-шини ESQ-132-14-G-D і ESQ-120-14-G-D фірми Samtec (J201, J202), роз’єм інтерфейсу JTAG Header 6 (J203) для програмування конфігураційного ПЗП, роз’єми для зовнішніх сигналів на вихід з ЦАП Header 2 (P201). Крім того схема містить резистори SMD номіналами 5.1 kOm і 10 kOm, які мають футпрінти 0805 та конденсатори фільтрації живлення SMD номіналами 0.1 μF і 4.7 μF, які мають футпрінти 0805 і 1206 відповідно. Для проектування модуля була створена бібліотека, яка містить такі компоненти: 12-розрядний ЦАП DAC7545JU, генератор тактової частоти CB3LV-3C-50.000-T, роз’єми AT-шини ESQ-132-14-G-D і ESQ-120-14-G-D, операційний підсилювач OPA640. Після завершення проектування електричної принципової схеми була проведена перевірка коректності схеми шляхом компіляції PCB-проекту, та виправлено ряд помилок. 4. Розділ конструкторського проектування 4.1 Проектування друкованої плати модуля Процес проектування друкованої плати модуля в САПР Altium Designer складався з наступних кроків: створення заготовки плати, перенос електричної принципової схеми в плату, встановлення правил проектування, розміщення компонентів, трасування плати, формування шару живлення, виконання DRC-перевірки плати. При створенні заготовки плати модуля за допомогою майстра було використано готовий шаблон "PC-104 16 bit bus", який сформував заготовку плати у відповідності із стандартом PC/104. Після переносу електричної принципової схеми в плату було виконано такі дії: роз’єми AT-шини, встановлені при створенні заготовки майстром були замінені на створені власноруч; підключено отвори кріплення плати модуля до потенціалу GND; підключено внутрішні екранні шари (Internal Plane 1, Internal Plane 2) до потенціалу GND і до живлення +5V відповідно. Наступним етапом було встановлення правил проектування, яке необхідне для того, щоб система могла перевіряти коректність дій проектувальника в процесі розміщення компонентів і трасування плати, а також для проведення DRC-перевірки плати по завершенню проектування. Отже було встановлено чи замінено такі правила: Clearance / Clearance: Minimum Clearance – 5 mil, Width / PowerWidth (Full Query – "InNet('+5.0V') or InNet('+3.3V') or InNet('+2.5V') or InNet('GND')"): Min Width – 10 mil, Preferred Width – 25 mil, Max Width – 40 mil, Width / Width (Full Query – "All"): Min Width – 8 mil, Preferred Width – 10 mil, Max Width – 15 mil, Routing Via Style / PowerRoutingVias (Full Query – "InNet('+5.0V') or InNet('+3.3V') or InNet('+2.5V') or InNet('GND')"): Via Diameter Minimum – 20 mil, Via Diameter Maximum – 50 mil, Via Diameter Preferred – 40 mil, Via Hole Size Minimum – 10 mil, Via Hole Size Maximum – 25 mil, Via Hole Size Preferred – 20 mil, Routing Via Style / RoutingVias (Full Query – "All"): Via Diameter Minimum – 20 mil, Via Diameter Maximum – 50 mil, Via Diameter Preferred – 24 mil, Via Hole Size Minimum – 10 mil, Via Hole Size Maximum – 20 mil, Via Hole Size Preferred – 12 mil. При розміщення компонентів особливу увагу зверталось на мінімізацію перетинів зв’язків між виводами. Згідно рекомендацій активні компоненти (мікросхеми, роз’єми) розміщувати на верхньому шарі плати (Top Layer), а пасивні компоненти (резистори, конденсатори) – на нижньому шарі (Bottom Layer). В процесі трасування друкованої плати інтерфейсні виводи ПЛІС були декілька раз поміняні місцями для того, щоби їх
Антиботан аватар за замовчуванням

08.04.2013 23:04-

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Ділись своїми роботами та отримуй миттєві бонуси!

Маєш корисні навчальні матеріали, які припадають пилом на твоєму комп'ютері? Розрахункові, лабораторні, практичні чи контрольні роботи — завантажуй їх прямо зараз і одразу отримуй бали на свій рахунок! Заархівуй всі файли в один .zip (до 100 МБ) або завантажуй кожен файл окремо. Внесок у спільноту – це легкий спосіб допомогти іншим та отримати додаткові можливості на сайті. Твої старі роботи можуть приносити тобі нові нагороди!
Нічого не вибрано
0%

Оголошення від адміністратора

Антиботан аватар за замовчуванням

Подякувати Студентському архіву довільною сумою

Admin

26.02.2023 12:38

Дякуємо, що користуєтесь нашим архівом!