Частина тексту файла (без зображень, графіків і формул):
Міністерство освіти і науки України
Національний університет “Львівська політехніка”
Кафедра ЕОМ
ЗВІТ
до лабораторної роботи №2
з курсу “ Моделювання комп’ютерних систем”
Лабораторна робота №2
Тема: Розробка та моделювання декодера для семисегментного індикатора
Мета роботи : вивчити методи опису поведінки об'єктів за допомогою архітектур та процесів, шляхи застосування оператора вибору case, навчитись моделювати поведінку об'єктів в САПР Active-HDL.
Порядок виконання роботи:
Створити новий проект в Active-HDL.
Створити об'єкт декодера та описати на VHDL його поведінку.
Скомпілювати створений об'єкт (меню Design \ Compile, або клавіша <F11>).
У вікні Design Browser для встановлення верхнього рівня моделювання (Top Level) вибрати об'єкт-декодер.
Ініціалізувати моделювання об'єкта (меню Simulation \ Initialize Simulation).
Створити в проекті новий файл Waveform Viewer (меню File \ New \ Waveform).
Вставити в вікно Waveform Viewer вхідний та вихідний сигнали декодера (меню Waveform \ Add Signals).
Призначити вхідному сигналу декодера стимулятори (меню Waveform \ Stimulators).
Запустити процес симуляції декодера (меню Simulation \ Run).
Вивчити отримані часові діаграми роботи декодера.
Змінюючи стимулятори на вхідних портах декодера, перевірити коректність його роботи для всіх можливих значень на вході.
Підготувати звіт до захисту.
VHDL - код програми:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity Decoder is
port(
X : in STD_LOGIC_VECTOR(3 downto 0);
Y : out STD_LOGIC_VECTOR(6 downto 0)
);
end Decoder;
--}} End of automatically maintained section
architecture Decoder of Decoder is
begin
Show:process(X)
--variable iNum:integer:=Conv_Integer(X);
begin
case X is
when "0000" => Y<="1110111";
when "0001" => Y<="0100100";
when "0010" => Y<="1011101";
when "0011" => Y<="1101101";
when "0100" => Y<="0101110";
when "0101" => Y<="1101011";
when "0110" => Y<="1111011";
when "0111" => Y<="0100101";
when "1000" => Y<="1111111";
when "1001" => Y<="1101111";
when others => Y<="0000000";
end case;
end process Show;
-- enter your statements here --
end Decoder;
Часова діаграма:
/
Висновок: під час виконання даної лабораторної роботи я вивчив методи опису поведінки об'єктів за допомогою архітектур та процесів, шляхи застосував оператор вибору case, навчився моделювати поведінку об'єктів в САПР Active-HDL.
Ви не можете залишити коментар. Для цього, будь ласка, увійдіть
або зареєструйтесь.
Ділись своїми роботами та отримуй миттєві бонуси!
Маєш корисні навчальні матеріали, які припадають пилом на твоєму комп'ютері? Розрахункові, лабораторні, практичні чи контрольні роботи — завантажуй їх прямо зараз і одразу отримуй бали на свій рахунок! Заархівуй всі файли в один .zip (до 100 МБ) або завантажуй кожен файл окремо. Внесок у спільноту – це легкий спосіб допомогти іншим та отримати додаткові можливості на сайті. Твої старі роботи можуть приносити тобі нові нагороди!