Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Розроблення VHDL – моделей цифрових автоматів

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
О
Факультет:
КНІТ
Кафедра:
Не вказано

Інформація про роботу

Рік:
2015
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Комп ютерні методи високорівневого проектування систем захисту

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ, МОЛОДІ ТА СПОРТУ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ «ЛЬВІВСЬКА ПОЛІТЕХНІКА» Кафедра БІТ  Звіт до лабораторної роботи № 2 «Розроблення VHDL – моделей цифрових автоматів» з курсу : «Комп`ютерні методи високорівневого проектування пристроїв захисту» Львів – 2015 Мета роботи: Ознайомитись із типами даних, об’єктами та базовими конструкціями мови VHDL, отримати навики моделювання синхронних кінцевих автоматів в VHDL. Основні теоретичні відомості Основні поняття теорії автоматів. Автомати Мілі та Мура Автомат представляється як «чорна скринька», яка має скінчену кількість входів і виходів і деяку множину внутрішніх станів , в які він під дією вхідних сигналів переходить стрибкоподібно, оминаючи проміжний стан. Звичайно, ця умова не виконується в реальності, оскільки будь-який перехідний процес триває певний час. Автомат називається скінченним, якщо множина його внутрішніх станів та множина значень вхідних сигналів є скінченними множинами. Автомати, призначені для перетворення дискретної інформації, називають дискретними автоматами. До складу дискретних автоматів обов’язково входять запам’ятовуючі елементи, які зберігають їхній стан. Вихідні сигнали в таких автоматах формуються в залежності від вхідних сигналів і станів, в яких знаходяться елементи пам’яті. Тому дискретні автомати прийнято називати також цифровими автоматами.  - інтервал дискретності автомата При побудові автоматів із дискретним автоматним часом розрізняють синхронні та асинхронні автомати. У синхронних автоматах моменти часу, в яких можлива зміна стану автомата, визначаються спеціальним пристроєм – генератором синхронізуючих імпульсів. Сусідні моменти часу зазвичай розподілені рівними часовими проміжками. В асинхронних автоматах моменти переходів із одного стану в інший не керуються сигналом синхронізації. Зміни станів цифрового автомата ініціюються зміною значень вхідних сигналів, які виникають поза автоматом. Для будь-якого цифрового автомата кількість різних вхідних сигналів обов’язково є скінченною. Результатом роботи цифрового автомата є видача вихідних сигналів. Щодо моменту часу  переходу автомата зі стану  в стан , то сигнал  може фактично з’явитись або раніше, або пізніше цього моменту. У першому випадку приймається, що вихідний сигнал  однозначно визначається вхідним сигналом  і станом  автомата в попередній момент часу, у другому випадку сигнал  однозначно визначається парою . Цифрові автомати, в яких вихідний сигнал  визначається парою , називають автоматами першого роду (автоматами Мілі), а автомати, в яких сигнал  визначається парою , - автоматами другого роду (автоматами Мура). Загальна теорія автоматів при зроблених вище припущеннях ділиться на дві великі частини: абстрактна теорія автоматів і структурна теорія автоматів. В абстрактній теорії не враховуються структура ані самого автомата, ані його вхідних і вихідних сигналів. Вхідні і вихідні сигнали розглядаються при цьому просто як букви двох фіксованих для данного автомата алфавітів: вхідного і вихідного. Не цікавлячись способом побудови автомата, абстрактна теорія розглядає лише переходи, які виконує автомат під впливом вхідних сигналів, і вихідних сигналів, які він при цьому видає. На противагу абстрактній теорії, структурна теорія автоматів враховує структури автомата і його вхідних і вихідних сигналів. У структурній теорії вивчаються способи побудови автоматів із декількох елементарних автоматів, способів кодування вхідних і вихідних сигналів елементарними сигналами, що передаються реальними вхідними і вихідними каналами. Таким чином, структурна теорія є продовженням і подальшим розвитком абстрактної теорії. Зокрема, задача синтезу ідеалізованого (без урахування перехідних процесів) цифрового автомата природнім чином поділяється на етапи абстрактного і структурного синтезу. Створення моделі синхронного скінченного автомата мовою VHDL Абстрактний синтез автомата Граф-схема алгоритму (ГСА) – це граф, в якому використовуються на...
Антиботан аватар за замовчуванням

17.05.2017 16:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини